You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.
 
 
 

60 lines
720 B

extern crate cc_test;
use cc_test::*;
#[link(name = "OptLinkage", kind = "static")]
extern "C" {
fn answer() -> i32;
}
#[test]
fn foo_here() {
unsafe {
assert_eq!(foo(), 4);
}
}
#[test]
fn bar_here() {
unsafe {
assert_eq!(bar1(), 5);
assert_eq!(bar2(), 6);
}
}
#[test]
fn asm_here() {
unsafe {
assert_eq!(asm(), 7);
}
}
#[test]
fn baz_here() {
unsafe {
assert_eq!(baz(), 8);
}
}
#[test]
#[cfg(windows)]
fn windows_here() {
unsafe {
windows();
}
}
#[test]
#[cfg(target_env = "msvc")]
fn msvc_here() {
unsafe {
msvc();
}
}
#[test]
fn opt_linkage() {
unsafe {
assert_eq!(answer(), 42);
}
}